Folgen
Bo Liu
Titel
Zitiert von
Zitiert von
Jahr
A 22nm, 10.8 μ W/15.1 μ W Dual Computing Modes High Power-Performance-Area Efficiency Domained Background Noise Aware Keyword- Spotting Processor
B Liu, H Cai, Z Wang, Y Sun, Z Shen, W Zhu, Y Li, Y Gong, W Ge, J Yang, ...
IEEE Transactions on Circuits and Systems I: Regular Papers 67 (12), 4733-4746, 2020
602020
EERA-ASR: An energy-efficient reconfigurable architecture for automatic speech recognition with hybrid DNN and approximate computing
B Liu, H Qin, Y Gong, W Ge, M Xia, L Shi
IEEE Access 6, 52227-52237, 2018
432018
Proposal of analog in-memory computing with magnified tunnel magnetoresistance ratio and universal STT-MRAM cell
H Cai, Y Guo, B Liu, M Zhou, J Chen, X Liu, J Yang
IEEE Transactions on Circuits and Systems I: Regular Papers 69 (4), 1519-1531, 2022
422022
A self-timed voltage-mode sensing scheme with successive sensing and checking for STT-MRAM
Y Zhou, H Cai, L Xie, M Han, M Liu, S Xu, B Liu, W Zhao, J Yang
IEEE Transactions on Circuits and Systems I: Regular Papers 67 (5), 1602-1614, 2020
332020
An energy-efficient voice activity detector using deep neural networks and approximate computing
B Liu, Z Wang, S Guo, H Yu, Y Gong, J Yang, L Shi
Microelectronics Journal 87, 12-21, 2019
282019
Precision adaptive MFCC based on R2SDF-FFT and approximate computing for low-power speech keywords recognition
B Liu, X Ding, H Cai, W Zhu, Z Wang, W Liu, J Yang
IEEE Circuits and Systems Magazine 21 (4), 24-39, 2021
262021
A survey of in-spin transfer torque MRAM computing
H Cai, B Liu, J Chen, L Naviner, Y Zhou, Z Wang, J Yang
Science China Information Sciences 64 (6), 160402, 2021
262021
An ultra-low power always-on keyword spotting accelerator using quantized convolutional neural network and voltage-domain analog switching network-based approximate computing
B Liu, Z Wang, W Zhu, Y Sun, Z Shen, L Huang, Y Li, Y Gong, W Ge
IEEE Access 7, 186456-186469, 2019
252019
ARA: Cross-Layer approximate computing framework based reconfigurable architecture for CNNs
Y Gong, B Liu, W Ge, L Shi
Microelectronics Journal 87, 33-44, 2019
202019
33.4 A 28nm 2Mb STT-MRAM computing-in-memory macro with a refined bit-cell and 22.4-41.5 TOPS/W for AI inference
H Cai, Z Bian, Y Hou, Y Zhou, Y Guo, X Tian, B Liu, X Si, Z Wang, J Yang, ...
2023 IEEE International Solid-State Circuits Conference (ISSCC), 500-502, 2023
192023
More is less: Domain-specific speech recognition microprocessor using one-dimensional convolutional recurrent neural network
B Liu, H Cai, Z Zhang, X Ding, Z Wang, Y Gong, W Liu, J Yang, Z Wang, ...
IEEE Transactions on Circuits and Systems I: Regular Papers 69 (4), 1571-1582, 2021
172021
EERA-KWS: A 163 TOPS/W always-on keyword spotting accelerator in 28nm CMOS using binary weight network and precision self-adaptive approximate computing
B Liu, Z Wang, H Fan, J Yang, W Zhu, L Huang, Y Gong, W Ge, L Shi
IEEE Access 7, 82453-82465, 2019
172019
FPGA implementation of a challenge pre-processing structure arbiter PUF designed for machine learning attack resistance
W Ge, S Hu, J Huang, B Liu, M Zhu
IEICE Electronics Express 17 (2), 20190670-20190670, 2020
132020
E-ERA: An energy-efficient reconfigurable architecture for RNNs using dynamically adaptive approximate computing
B Liu, W Dong, T Xu, Y Gong, W Ge, J Yang, L Shi
IEICE Electronics Express 14 (15), 20170637-20170637, 2017
132017
Cache structure and management method for use in implementing reconfigurable system configuration information storage
L Shi, J Yang, P Cao, B Liu, J Yang, L Liu, S Yin, S Wei
US Patent 9,734,056, 2017
122017
Deep learning modeling attack analysis for multiple fpga-based apuf protection structures
JQ Huang, M Zhu, B Liu, W Ge
2018 14th IEEE International Conference on Solid-State and Integrated …, 2018
112018
Reconfiguration process optimization of dynamically coarse grain reconfigurable architecture for multimedia applications
B Liu, P Cao, M Zhu, J Yang, L Liu, S Wei, L Shi
IEICE TRANSACTIONS on Information and Systems 95 (7), 1858-1871, 2012
112012
A 1D-CRNN inspired reconfigurable processor for noise-robust low-power keywords recognition
B Liu, Z Shen, L Huang, Y Gong, Z Zhang, H Cai
2021 Design, Automation & Test in Europe Conference & Exhibition (DATE), 495-500, 2021
92021
A configuration compression approach for coarse-grain reconfigurable architecture for radar signal processing
B Liu, WY Zhu, Y Liu, P Cao
2014 International Conference on Cyber-Enabled Distributed Computing and …, 2014
82014
Self-compensation tensor multiplication unit for adaptive approximate computing in low-power CNN processing
B Liu, Z Zhang, H Cai, R Zhang, Z Wang, J Yang
Inf. Sci. 65, 2022
72022
Das System kann den Vorgang jetzt nicht ausführen. Versuchen Sie es später erneut.
Artikel 1–20