Folgen
a yakovlev
Titel
Zitiert von
Zitiert von
Jahr
Petrify: a tool for manipulating concurrent specifications and synthesis of asynchronous controllers
J Cortadella, M Kishinevsky, A Kondratyev, L Lavagno, A Yakovlev
IEICE Transactions on information and Systems 80 (3), 315-325, 1997
7791997
Deriving Petri nets from finite transition systems
J Cortadella, M Kishinevsky, L Lavagno, A Yakovlev
IEEE transactions on computers 47 (8), 859-882, 1998
3481998
Logic synthesis for asynchronous controllers and interfaces
J Cortadella, M Kishinevsky, A Kondratyev, L Lavagno, A Yakovlev
Springer Science & Business Media, 2012
3222012
Concurrent hardware: the theory and practice of self-timed design
M Kishinevsky, A Kondratyev, A Taubin, V Varshavsky
John Wiley & Sons, Inc., 1994
2991994
Signal graphs: from self-timed to timed ones
LY Rosenblum, A Yakovlev
International Workshop on Timed Petri Nets, 199-206, 1985
2451985
Design and analysis of dual-rail circuits for security applications
D Sokolov, J Murphy, A Bystrov, A Yakovlev
IEEE Transactions on Computers 54 (4), 449-460, 2005
2182005
A survey of emerging interconnects for on-chip efficient multicast and broadcast in many-cores
A Karkar, T Mak, KF Tong, A Yakovlev
IEEE Circuits and Systems Magazine 16 (1), 58-72, 2016
1682016
Hardware design and Petri nets
A Yakovlev, L Gomes, L Lavagno
Kluwer Academic Publishers 1 (2), 3, 2000
1472000
Comprehensive analysis of the impact of single and arrays of through silicon vias induced stress on high-k/metal gate CMOS performance
A Mercha, G Van der Plas, V Moroz, I De Wolf, P Asimakopoulos, N Minas, ...
2010 International Electron Devices Meeting, 2.2. 1-2.2. 4, 2010
1392010
Time difference amplifier
AM Abas, A Bystrov, DJ Kinniment, OV Maevsky, G Russell, AV Yakovlev
ELECTRONICS LETTERS-IEE 38 (23), 1437-1437, 2002
1372002
Basic gate implementation of speed-independent circuits
A Kondratyev, M Kishinevsky, B Lin, P Vanbekbergen, A Yakovlev
Proceedings of the 31st annual Design Automation Conference, 56-62, 1994
1331994
Synthesizing Petri nets from state-based models
J Cortadella, M Kishinevsky, L Lavagno, A Yakovlev
Proceedings of IEEE International Conference on Computer Aided Design (ICCAD …, 1995
1291995
Synchronization circuit performance
DJ Kinniment, A Bystrov, AV Yakovlev
IEEE Journal of Solid-State Circuits 37 (2), 202-209, 2002
1262002
Unfolding and finite prefix for nets with read arcs
W Vogler, A Semenov, A Yakovlev
CONCUR'98 Concurrency Theory: 9th International Conference Nice, France …, 1998
1101998
Improving the security of dual-rail circuits
D Sokolov, J Murphy, A Bystrov, A Yakovlev
Cryptographic Hardware and Embedded Systems-CHES 2004: 6th International …, 2004
1032004
Energy-modulated computing
A Yakovlev
2011 Design, Automation & Test in Europe, 1-6, 2011
972011
Priority arbiters
A Bystrov, DJ Kinniment, A Yakovlev
Proceedings Sixth International Symposium on Advanced Research in …, 2000
962000
Energy-efficient approximate multiplier design using bit significance-driven logic compression
I Qiqieh, R Shafik, G Tarawneh, D Sokolov, A Yakovlev
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017, 7-12, 2017
952017
Logic synthesis for asynchronous circuits based on STG unfoldings and incremental SAT
V Khomenko, M Koutny, A Yakovlev
Fundamenta Informaticae 70 (1-2), 49-73, 2006
892006
On the models for asynchronous circuit behaviour with OR causality
A Yakovlev, M Kishinevsky, A Kondratyev, L Lavagno, ...
Formal Methods in System Design 9, 189-233, 1996
841996
Das System kann den Vorgang jetzt nicht ausführen. Versuchen Sie es später erneut.
Artikel 1–20