Mparm: Exploring the multi-processor soc design space with systemc L Benini, D Bertozzi, A Bogliolo, F Menichelli, M Olivieri Journal of VLSI signal processing systems for signal, image and video …, 2005 | 353 | 2005 |
A post-compiler approach to scratchpad mapping of code F Angiolini, F Menichelli, A Ferrero, L Benini, M Olivieri Proceedings of the 2004 international conference on Compilers, architecture …, 2004 | 138 | 2004 |
Impact of technology scaling on leakage power in nano-scale bulk CMOS digital standard cells Z Abbas, M Olivieri Microelectronics Journal 45 (2), 179-195, 2014 | 68 | 2014 |
Design of synchronous and asynchronous variable-latency pipelined multipliers M Olivieri IEEE Transactions on Very Large Scale Integration (VLSI) Systems 9 (2), 365-376, 2001 | 68 | 2001 |
Statistical carry lookahead adders A De Gloria, M Olivieri IEEE Transactions on Computers 45 (3), 340-347, 1996 | 67 | 1996 |
A novel yield optimization technique for digital CMOS circuits design by means of process parameters run-time estimation and body bias active control M Olivieri, G Scotti, A Trifiletti IEEE Transactions on Very Large Scale Integration (VLSI) Systems 13 (5), 630-638, 2005 | 50 | 2005 |
A class of code compression schemes for reducing power consumption in embedded microprocessor systems L Benini, F Menichelli, M Olivieri IEEE Transactions on Computers 53 (4), 467-482, 2004 | 49 | 2004 |
Fuzzy logic microcontroller A Costa, A De Gloria, F Giudici, M Olivieri IEEE Micro 17 (1), 66-74, 1997 | 45 | 1997 |
High-level side-channel attack modeling and simulation for security-critical systems on chips F Menichelli, R Menicocci, M Olivieri, A Trifiletti IEEE Transactions on Dependable and Secure Computing 5 (3), 164-176, 2008 | 42 | 2008 |
Side channel analysis resistant design flow M Aigner, S Mangard, F Menichelli, R Menicocci, M Olivieri, T Popp, ... 2006 IEEE International Symposium on Circuits and Systems, 4 pp.-2912, 2006 | 37 | 2006 |
Effect of NBTI/PBTI aging and process variations on write failures in MOSFET and FinFET flip-flops U Khalid, A Mastrandrea, M Olivieri Microelectronics Reliability 55 (12), 2614-2626, 2015 | 36 | 2015 |
A voltage-based leakage current calculation scheme and its application to nanoscale MOSFET and FinFET standard-cell designs Z Abbas, A Mastrandrea, M Olivieri IEEE Transactions on Very Large Scale Integration (VLSI) Systems 22 (12 …, 2014 | 35 | 2014 |
Current controlled current conveyor (CCCII) and application using 65nm CMOS technology Z Abbas, G Scotti, M Olivieri International Journal of Electronics and Communication Engineering 5 (7 …, 2011 | 35 | 2011 |
A bootstrap technique for wideband amplifiers F Centurelli, R Luzzi, M Olivieri, A Trifiletti IEEE Transactions on Circuits and Systems I: Fundamental Theory and …, 2002 | 35 | 2002 |
The international race towards Exascale in Europe F Gagliardi, M Moreto, M Olivieri, M Valero CCF Transactions on High Performance Computing 1 (1), 3-13, 2019 | 33 | 2019 |
Klessydra-t: designing vector coprocessors for multithreaded edge-computing cores A Cheikh, S Sordillo, A Mastrandrea, F Menichelli, G Scotti, M Olivieri IEEE Micro 41 (2), 64-71, 2021 | 31 | 2021 |
Vitruvius+: an area-efficient RISC-V decoupled vector coprocessor for high performance computing applications F Minervini, O Palomar, O Unsal, E Reggiani, J Quiroga, J Marimon, ... ACM Transactions on Architecture and Code Optimization 20 (2), 1-25, 2023 | 29 | 2023 |
SC-DDPL: A novel standard-cell based approach for counteracting power analysis attacks in the presence of unbalanced routing D Bellizia, S Bongiovanni, M Olivieri, G Scotti IEEE Transactions on Circuits and Systems I: Regular Papers 67 (7), 2317-2330, 2020 | 29 | 2020 |
The microarchitecture of a multi-threaded RISC-V compliant processing core family for IoT end-nodes A Cheikh, G Cerutti, A Mastrandrea, F Menichelli, M Olivieri Applications in Electronics Pervading Industry, Environment and Society …, 2019 | 29 | 2019 |
Adaptive idleness distribution for non-uniform aging tolerance in multiprocessor systems-on-chip F Paterna, L Benini, F Papariello, G Desoli, A Acquaviva, M Olivieri 2009 Design, Automation & Test in Europe Conference & Exhibition, 906-909, 2009 | 29 | 2009 |