Follow
Kuan-Yu Chen
Title
Cited by
Cited by
Year
Squigglefilter: An accelerator for portable virus detection
T Dunn, H Sadasivan, J Wadden, K Goliya, KY Chen, D Blaauw, R Das, ...
MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture …, 2021
502021
A 7.3 m output non-zeros/j, 11.7 m output non-zeros/gb reconfigurable sparse matrix–matrix multiplication accelerator
DH Park, S Pal, S Feng, P Gao, J Tan, A Rovinski, S Xie, C Zhao, ...
IEEE Journal of Solid-State Circuits 55 (4), 933-944, 2020
262020
A 7.3 M Output Non-Zeros/J Sparse Matrix-Matrix Multiplication Accelerator using Memory Reconfiguration in 40 nm
S Pal, D Park, S Feng, P Gao, J Tan, A Rovinski, S Xie, C Zhao, ...
2019 Symposium on VLSI Technology, C150-C151, 2019
202019
Ndminer: accelerating graph pattern mining using near data processing
N Talati, H Ye, Y Yang, L Belayneh, KY Chen, D Blaauw, T Mudge, ...
Proceedings of the 49th Annual International Symposium on Computer …, 2022
182022
MeNDA: a near-memory multi-way merge solution for sparse transposition and dataflows
S Feng, X He, KY Chen, L Ke, X Zhang, D Blaauw, T Mudge, R Dreslinski
Proceedings of the 49th Annual International Symposium on Computer …, 2022
152022
Versa: A 36-core systolic multiprocessor with dynamically reconfigurable interconnect and memory
S Kim, M Fayazi, A Daftardar, KY Chen, J Tan, S Pal, T Ajayi, Y Xiong, ...
IEEE Journal of Solid-State Circuits 57 (4), 986-998, 2022
122022
Mint: An accelerator for mining temporal motifs
N Talati, H Ye, S Vedula, KY Chen, Y Chen, D Liu, Y Yuan, D Blaauw, ...
2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO …, 2022
62022
A 507 GMACs/J 256-core domain adaptive systolic-array-processor for wireless communication and linear-algebra kernels in 12nm FINFET
KY Chen, CS Yang, YH Sun, CW Tseng, M Fayazi, X He, S Feng, Y Yue, ...
2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and …, 2022
62022
Versa: A dataflow-centric multiprocessor with 36 systolic arm cortex-m4f cores and a reconfigurable crossbar-memory hierarchy in 28nm
S Kim, M Fayazi, A Daftardar, KY Chen, J Tan, S Pal, T Ajayi, Y Xiong, ...
2021 Symposium on VLSI Circuits, 1-2, 2021
52021
Locality-aware Optimizations for Improving Remote Memory Latency in Multi-GPU Systems
L Belayneh, H Ye, KY Chen, D Blaauw, T Mudge, R Dreslinski, N Talati
Proceedings of the International Conference on Parallel Architectures and …, 2022
32022
GenDP: A Framework of Dynamic Programming Acceleration for Genome Sequencing Analysis
Y Gu, A Subramaniyan, T Dunn, A Khadem, KY Chen, S Paul, ...
Proceedings of the 50th Annual International Symposium on Computer …, 2023
22023
Enabling Software-Defined RF Convergence with a Novel Coarse-Scale Heterogeneous Processor
DW Bliss, T Ajayi, A Akoglu, I Aliyev, T Basaklar, L Belayneh, D Blaauw, ...
2022 IEEE International Symposium on Circuits and Systems (ISCAS), 443-447, 2022
22022
FALCON: An FPGA Emulation Platform for Domain-Specific Systems-on-Chip (DSSoCs)
A Krishnakumar, H Yu, T Ajayi, AA Goksoy, V Pandey, J Mack, S Hassan, ...
IEEE Design & Test, 2023
2023
Squaring the circle: Executing Sparse Matrix Computations on FlexTPU---A TPU-Like Processor
X He, KY Chen, S Feng, HS Kim, D Blaauw, R Dreslinski, T Mudge
Proceedings of the International Conference on Parallel Architectures and …, 2022
2022
A Long-Range Narrowband RF Localization System with a Crystal-Less Frequency-Hopping Receiver
CW Tseng, D Komma, KY Chen, R Rothe, Z Feng, M Yasuda, ...
2022 IEEE International Solid-State Circuits Conference (ISSCC) 65, 392-394, 2022
2022
The system can't perform the operation now. Try again later.
Articles 1–15