Folgen
Caiwen Ding
Titel
Zitiert von
Zitiert von
Jahr
CirCNN: accelerating and compressing deep neural networks using block-circulant weight matrices
C Ding, S Liao, Y Wang, Z Li, N Liu, Y Zhuo, C Wang, X Qian, Y Bai, ...
(MICRO) Proceedings of the 50th Annual IEEE/ACM International Symposium on …, 2017
3102017
Sc-dcnn: Highly-scalable deep convolutional neural network using stochastic computing
A Ren, Z Li, C Ding, Q Qiu, Y Wang, J Li, X Qian, B Yuan
(ASPLOS) 2017 Intl Conf on Architectural Support for Programming Languages …, 2017
2522017
C-lstm: Enabling efficient lstm using structured compression techniques on fpgas
S Wang, Z Li, C Ding, B Yuan, Q Qiu, Y Wang, Y Liang
(FPGA) Proceedings of the 2018 ACM/SIGDA International Symposium on Field …, 2018
2312018
FTRANS: Energy-Efficient Acceleration of Transformers using FPGA
B Li, S Pandey, H Fang, Y Lyv, J Li, J Chen, M Xie, L Wan, H Liu, C Ding
(ISLPED) 2020 Proceedings of the ACM/IEEE International Symposium on Low …, 2020
1112020
REQ-YOLO: A Resource-Aware, Efficient Quantization Framework for Object Detection on FPGAs
C Ding, S Wang, N Liu, K Xu, Y Wang, Y Liang
(FPGA) Proceedings of the 2019 ACM/SIGDA International Symposium on Field …, 2019
1082019
VIBNN: Hardware acceleration of Bayesian neural networks
R Cai, A Ren, N Liu, C Ding, L Wang, X Qian, M Pedram, Y Wang
(ASPLOS) Proceedings of the 23rd International Conference on Architectural …, 2018
1052018
HEIF: Highly efficient stochastic computing-based inference framework for deep neural networks
Z Li, J Li, A Ren, R Cai, C Ding, X Qian, J Draper, B Yuan, J Tang, Q Qiu, ...
(TCAD) IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2018
922018
Towards acceleration of deep convolutional neural networks using stochastic computing
J Li, A Ren, Z Li, C Ding, B Yuan, Q Qiu, Y Wang
(ASP-DAC) 2017 22nd Asia and South Pacific Design Automation Conference, 115-120, 2017
832017
E-RNN: Design optimization for efficient recurrent neural networks in FPGAs
Z Li, C Ding, S Wang, W Wen, Y Zhuo, C Liu, Q Qiu, W Xu, X Lin, X Qian, ...
(HPCA) 2019 IEEE International Symposium on High Performance Computer …, 2019
762019
Accelerating transformer-based deep learning models on fpgas using column balanced block pruning
H Peng, S Huang, T Geng, A Li, W Jiang, H Liu, S Wang, C Ding
2021 22nd International Symposium on Quality Electronic Design (ISQED), 142-148, 2021
732021
Hardware-driven nonlinear activation for stochastic computing based deep convolutional neural networks
J Li, Z Yuan, Z Li, C Ding, A Ren, Q Qiu, J Draper, Y Wang
(IJCNN) 2017 International Joint Conference on Neural Networks, 1230-1236, 2017
632017
Tiny but Accurate: A Pruned, Quantized and Optimized Memristor Crossbar Framework for Ultra Efficient DNN Implementation
X Ma, G Yuan, S Lin, C Ding, F Yu, T Liu, W Wen, X Chen, Y Wang
25th Asia and South Pacific Design Automation Conference (ASP-DAC), 2020
562020
Fft-based deep learning deployment in embedded systems
S Lin, N Liu, M Nazemi, H Li, C Ding, Y Wang, M Pedram
(DATE) 2018 Design, Automation & Test in Europe Conference & Exhibition …, 2018
552018
FORMS: Fine-grained Polarized ReRAM-based In-situ Computation for Mixed-signal DNN Accelerator
G Yuan, P Behnam, Z Li, A Shafiee, X Ma, H Liu, X Qian, M Bojnordi, ...
(ISCA'21) The 48th International Symposium on Computer Architecture, 2021, 2021
532021
An Ultra-Efficient Memristor-Based DNN Framework with Structured Weight Pruning and Quantization Using ADMM
G Yuan, X Ma, C Ding, S Lin, T Zhang, ZS Jalali, Y Zhao, L Jiang, ...
(ISLPED) 2019 IEEE/ACM International Symposium on Low Power Electronics and …, 2019
532019
Quclassi: A hybrid deep neural network architecture based on quantum state fidelity
SA Stein, B Baheri, D Chen, Y Mao, Q Guan, A Li, S Xu, C Ding
Proceedings of Machine Learning and Systems 4, 251-264, 2022
462022
Towards ultra-high performance and energy efficiency of deep learning systems: an algorithm-hardware co-optimization framework
Y Wang, C Ding, Z Li, G Yuan, S Liao, X Ma, B Yuan, X Qian, J Tang, ...
(AAAI) Thirty-Second AAAI Conference on Artificial Intelligence, 2018
452018
Against Membership Inference Attack: Pruning is All You Need
Y Wang, C Wang, Z Wang, S Zhou, H Liu, J Bi, C Ding, S Rajasekaran
(IJCAI) In Proceedings of the 30th International Joint Conference on …, 2021
44*2021
A stochastic-computing based deep learning framework using adiabatic quantum-flux-parametron superconducting technology
R Cai, A Ren, O Chen, N Liu, C Ding, X Qian, J Han, W Luo, N Yoshikawa, ...
(ISCA) 2019 ACM/IEEE 46th Annual International Symposium on Computer …, 2019
432019
Tag: Gradient attack on transformer-based language models
J Deng, Y Wang, J Li, C Shang, H Liu, S Rajasekaran, C Ding
arXiv preprint arXiv:2103.06819, 2021
412021
Das System kann den Vorgang jetzt nicht ausführen. Versuchen Sie es später erneut.
Artikel 1–20