Follow
Lin Xie
Lin Xie
University of Wisconsin-Madison
Verified email at insiemenetworks.com
Title
Cited by
Cited by
Year
Representative path selection for post-silicon timing prediction under variability
L Xie, A Davoodi
Proceedings of the 47th Design Automation Conference, 386-391, 2010
422010
TDMA and FDMA based resource allocations for quality of service provisioning over wireless relay networks
L Xie, X Zhang
2007 IEEE Wireless Communications and Networking Conference, 3153-3157, 2007
342007
Post-silicon diagnosis of segments of failing speedpaths due to manufacturing variations
L Xie, A Davoodi, KK Saluja
Proceedings of the 47th Design Automation Conference, 274-279, 2010
292010
Bound-based statistically-critical path extraction under process variations
L Xie, A Davoodi
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2010
232010
Partitioned bus coding for energy reduction
L Xie, P Qiu, Q Qiu
Proceedings of the 2005 Asia and South Pacific Design Automation Conference …, 2005
232005
A parallel and randomized algorithm for large-scale discrete dual-Vt assignment and continuous gate sizing
TH Wu, L Xie, A Davoodi
Proceedings of the 2008 international symposium on Low Power Electronics …, 2008
202008
Custom on-chip sensors for post-silicon failing path isolation in the presence of process variations
M Li, A Davoodi, L Xie
2012 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2012
182012
Robust estimation of timing yield with partial statistical information on process variations
L Xie, A Davoodi
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2008
122008
False path aware timing yield estimation under variability
L Xie, A Davoodi, KK Saluja, A Sinkar
2009 27th IEEE VLSI Test Symposium, 161-166, 2009
112009
Bound-based identification of timing-violating paths under variability
L Xie, A Davoodi
2009 Asia and South Pacific Design Automation Conference, 278-283, 2009
112009
Adjustment-based modeling for statistical static timing analysis with high dimension of variability
L Xie, A Davoodi, J Zhang, TH Wu
2008 IEEE/ACM International Conference on Computer-Aided Design, 181-184, 2008
112008
Fast and accurate statistical static timing analysis with skewed process parameter variation
L Xie, A Davoodi
IET circuits, devices & systems 2 (2), 187-200, 2008
92008
基于上下文的自适应二进制算术编码研究
谢林, 虞露, 仇佩亮
浙江大学学报: 工学版 39 (006), 910-914, 2005
82005
Adjustment-based modeling for timing analysis under variability
L Xie, A Davoodi, J Zhang, TH Wu
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2009
72009
Opportunistic cooperation for quality of service provisionings over wireless relay networks
L Xie, X Zhang
2007 IEEE International Conference on Communications, 254-259, 2007
72007
Cross-layer resource allocation strategies for quality-of-service driven opportunistic routing over wireless relay networks
L Xie, X Zhang
2007 41st Annual Conference on Information Sciences and Systems, 7-12, 2007
72007
Relay selection strategies for distributed space-time cooperative systems over wireless ad-hoc networks
L Xie, X Zhang, P Qiu
Proceedings of 15th International Conference on Computer Communications and …, 2006
62006
A Dual-Vt low leakage SRAM array robust to process variations
J Lee, L Xie, A Davoodi
2008 IEEE International Symposium on Circuits and Systems (ISCAS), 580-583, 2008
52008
Low-density parity-check coded distributed space-time cooperative system
B Dong, L Xie, P Qiu, Q Qiu
2006 IEEE 63rd Vehicular Technology Conference 5, 2383-2387, 2006
42006
Post-silicon failing-path isolation incorporating the effects of process variations
L Xie, A Davoodi
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2012
32012
The system can't perform the operation now. Try again later.
Articles 1–20