Folgen
Jiang Xu
Titel
Zitiert von
Zitiert von
Jahr
A low-power fat tree-based optical network-on-chip for multiprocessor system-on-chip
H Gu, J Xu, W Zhang
2009 Design, Automation & Test in Europe Conference & Exhibition, 3-8, 2009
2072009
A Low-power Low-cost Optical Router for Optical Networks-on-Chip in Multiprocessor Systems-on-Chip
H Gu, KH Mo, J Xu, W Zhang
IEEE Computer Society Annual Symposium on VLSI, 2009
1942009
A NoC Traffic Suite Based on Real Applications
W Liu, J Xu, X Wu, Y Ye, X Wang, W Zhang, M Nikdast, Z Wang
IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 66 - 71, 2011
1492011
Crosstalk noise and bit error rate analysis for optical network-on-chip
Y Xie, M Nikdast, J Xu, W Zhang, Q Li, X Wu, Y Ye, X Wang, W Liu
Proceedings of the 47th Design Automation Conference, 657-660, 2010
1492010
A design methodology for application-specific networks-on-chip
J Xu, W Wolf, J Henkel, S Chakradhar
ACM Transactions on Embedded Computing Systems (TECS) 5 (2), 263-280, 2006
1382006
3-D mesh-based optical network-on-chip for multiprocessor system-on-chip
Y Ye, J Xu, B Huang, X Wu, W Zhang, X Wang, M Nikdast, Z Wang, W Liu, ...
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2013
1232013
Formal worst-case analysis of crosstalk noise in mesh-based optical networks-on-chip
Y Xie, M Nikdast, J Xu, X Wu, W Zhang, Y Ye, X Wang, Z Wang, W Liu
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 21 (10 …, 2012
952012
A hierarchical hybrid optical-electronic network-on-chip
KH Mo, Y Ye, X Wu, W Zhang, W Liu, J Xu
2010 IEEE Computer Society Annual Symposium on VLSI, 327-332, 2010
922010
A novel optical mesh network-on-chip for gigascale systems-on-chip
H Gu, J Xu, Z Wang
APCCAS 2008-2008 IEEE Asia Pacific Conference on Circuits and Systems, 1728-1731, 2008
922008
Crosstalk noise in WDM-based optical networks-on-chip: A formal study and comparison
M Nikdast, J Xu, LHK Duong, X Wu, X Wang, Z Wang, Z Wang, P Yang, ...
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 23 (11 …, 2014
912014
Five-port optical router based on microring switches for photonic networks-on-chip
R Ji, J Xu, L Yang
IEEE Photonics Technology Letters 25 (5), 492-495, 2013
872013
A methodology for design, modeling, and analysis of networks-on-chip
J Xu, W Wolf, J Henkel, S Chakradhar
2005 IEEE International Symposium on Circuits and Systems (ISCAS), 1778-1781, 2005
842005
A torus-based hierarchical optical-electronic network-on-chip for multiprocessor system-on-chip
Y Ye, J Xu, X Wu, W Zhang, W Liu, M Nikdast
ACM Journal on Emerging Technologies in Computing Systems (JETC) 8 (1), 1-26, 2012
832012
Systematic Analysis of Crosstalk Noise in Folded-Torus-Based Optical Networks-on-Chip
M Nikdast, J Xu, X Wu, W Zhang, Y Ye, X Wang, Z Wang
Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions …, 2014
752014
Suor: Sectioned undirectional optical ring for chip multiprocessor
X Wu, J Xu, Y Ye, Z Wang, M Nikdast, X Wang
ACM Journal on Emerging Technologies in Computing Systems (JETC) 10 (4), 1-25, 2014
692014
UNION: A Unified Inter/Intra-Chip Optical Network for Chip Multiprocessors
JX Xiaowen Wu, Yaoyao Ye, Wei Zhang, Weichen Liu, Mahdi Nikdast, Xuan Wang
IEEE/ACM International Symposium on Nanoscale Architectures, 2010
63*2010
System-level modeling and analysis of thermal effects in optical networks-on-chip
Y Ye, J Xu, X Wu, W Zhang, X Wang, M Nikdast, Z Wang, W Liu
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 21 (2), 292-305, 2012
622012
Satisfiability modulo graph theory for task mapping and scheduling on multiprocessor systems
W Liu, Z Gu, J Xu, X Wu, Y Ye
IEEE Transactions on Parallel and Distributed Systems 22 (8), 1382-1389, 2010
572010
System-level modeling and analysis of thermal effects in WDM-based optical networks-on-chip
Y Ye, Z Wang, P Yang, J Xu, X Wu, X Wang, M Nikdast, Z Wang, ...
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2014
542014
3D optical networks-on-chip (NoC) for multiprocessor systems-on-chip (MPSoC)
Y Ye, L Duan, J Xu, J Ouyang, MK Hung, Y Xie
2009 IEEE International Conference on 3D System Integration, 1-6, 2009
542009
Das System kann den Vorgang jetzt nicht ausführen. Versuchen Sie es später erneut.
Artikel 1–20