Folgen
Elbert Bechthum
Elbert Bechthum
IMEC
Bestätigte E-Mail-Adresse bei imec.nl
Titel
Zitiert von
Zitiert von
Jahr
A wideband RF mixing-DAC achieving IMD<-82 dBc up to 1.9 GHz
E Bechthum, GI Radulov, J Briaire, GJGM Geelen, AHM van Roermund
IEEE Journal of Solid-State Circuits 51 (6), 1374-1384, 2016
492016
9.6 A 5.3 GHz 16b 1.75 GS/S wideband RF Mixing-DAC achieving IMD<-82dBc up to 1.9 GHz
E Bechthum, G Radulov, J Briaire, G Geelen, A van Roermund
2015 IEEE International Solid-State Circuits Conference-(ISSCC) Digest of …, 2015
212015
Systematic analysis of the impact of mixing locality on Mixing-DAC linearity for multicarrier GSM
E Bechthum, G Radulov, J Briaire, G Geelen, A van Roermund
2012 IEEE International Symposium on Circuits and Systems (ISCAS), 241-244, 2012
212012
21.2 A 3-to-10GHz 180pJ/b IEEE802. 15.4 z/4a IR-UWB coherent polar transmitter in 28nm CMOS with asynchronous amplitude pulse-shaping and injection-locked phase modulation
E Allebes, G Singh, Y He, E Tiurin, P Mateman, M Ding, J Dijkhuis, ...
2021 IEEE International Solid-State Circuits Conference (ISSCC) 64, 304-306, 2021
192021
A CMOS Polar Class-G Switched-Capacitor PA With a Single High-Current Supply, for LTE NB-IoT and eMTC
E Bechthum, M El Soussi, JF Dijkhuis, P Mateman, GJ van Schaik, ...
IEEE Journal of Solid-State Circuits, 2019
192019
30.6 A Low-Power BLE Transceiver with Support for Phase-Based Ranging, Featuring 5µs PLL Locking Time and 5.3 ms Ranging Time, Enabled by Staircase-Chirp PLL with Sticky-Lock …
E Bechthum, J Dijkhuis, M Ding, Y He, J Van Den Heuvel, P Mateman, ...
2020 IEEE International Solid-State Circuits Conference-(ISSCC), 470-472, 2020
142020
A novel temperature and disturbance insensitive DAC calibration method
E Bechthum, G Radulov, A van Roermund
2011 IEEE International Symposium of Circuits and Systems (ISCAS), 2003-2006, 2011
132011
An IR-UWB IEEE 802.15. 4z compatible coherent asynchronous polar transmitter in 28-nm CMOS
G Singh, E Allebes, Y He, E Tiurin, P Mateman, JF Dijkhuis, ...
IEEE Journal of Solid-State Circuits 56 (12), 3799-3810, 2021
92021
A CMOS polar single-supply class-G SCPA for LTE NB-IoT and Cat-M1
E Bechthum, M El Soussi, J Dijkhuis, P Mateman, GJ van Schaik, ...
ESSCIRC 2018-IEEE 44th European Solid State Circuits Conference (ESSCIRC), 30-33, 2018
92018
A novel timing-error based approach for high speed highly linear Mixing-DAC architectures
E Bechthum, G Radulov, J Briaire, G Geelen, A van Roermund
2014 IEEE International Symposium on Circuits and Systems (ISCAS), 942-945, 2014
92014
Timing error measurement for highly linear wideband digital to analog converters
E Bechthum, Y Tang, H Hegt, A van Roermund
2011 IEEE International Symposium of Circuits and Systems (ISCAS), 2019-2022, 2011
82011
A 3-10ghz 21.5 mw/channel rx and 8.9 mw tx ir-uwb 802.15. 4a/z 1t3r transceiver
E Bechthum, M Song, G Singh, E Allebes, C Basetas, P Boer, ...
ESSCIRC 2022-IEEE 48th European Solid State Circuits Conference (ESSCIRC …, 2022
72022
Classification for synthesis of high spectral purity current-steering mixing-DAC architectures
E Bechthum, G Radulov, J Briaire, G Geelen, A van Roermund
Analog Integrated Circuits and Signal Processing 85, 497-504, 2015
62015
An 8.7 mW/TX, 21 mW/RX 6-to-9GHz IEEE 802.15. 4a/4z compliant IR-UWB transceiver with pulse pre-emphasis achieving 14mm ranging precision
M Song, E Allebes, C Marshall, AN Bhat, E Bechthum, J Dijkhuis, ...
2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and …, 2023
42023
A novel output transformer based highly linear RF-DAC architecture
E Bechthum, G Radulov, J Briaire, G Geelen, A van Roermund
2013 European Conference on Circuit Theory and Design (ECCTD), 1-4, 2013
42013
A 8mW-RX/113mW-TX, Sub-GHz SoC with time-dithered PA ramping for LPWAN applications
H Gul, J Romme, P Mateman, J Dijkhuis, X Huang, C Zhou, B Busze, ...
ESSCIRC 2017-43rd IEEE European Solid State Circuits Conference, 376-379, 2017
32017
Switched-capacitor power amplifiers
E Bechthum, A Ba
US Patent 10,862,439, 2020
12020
A Low-Power 6–9-GHz IEEE 802.15. 4a/4z Compliant IR-UWB Transceiver With Pulse Pre-Emphasis Achieving High ToA Precision
M Song, E Allebes, C Marshall, AN Bhat, E Bechthum, J Dijkhuis, ...
IEEE Solid-State Circuits Letters 6, 297-300, 2023
2023
A 380μW IEEE 802.15. 4z IR-UWB pulse-mixing transmitter featuring enable-locking RFDCO with extensive duty-cycling in 22nm FDSOI
E Bechthum, E Allebes, P Mateman, Y Shen, P Vis, YH Liu, C Bachmann
ESSCIRC 2023-IEEE 49th European Solid State Circuits Conference (ESSCIRC), 45-48, 2023
2023
Wireless Communication Device, System and Method with Localization Capabilities
E Bechthum, J Van Den Heuvel
US Patent App. 17/936,290, 2023
2023
Das System kann den Vorgang jetzt nicht ausführen. Versuchen Sie es später erneut.
Artikel 1–20