Folgen
Jangwoo Kim
Jangwoo Kim
CEO at MangoBoost Inc & Professor at Seoul National University
Bestätigte E-Mail-Adresse bei snu.ac.kr - Startseite
Titel
Zitiert von
Zitiert von
Jahr
Multi-bit error tolerant caches using two-dimensional error coding
J Kim, N Hardavellas, K Mai, B Falsafi, J Hoe
40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO …, 2007
3692007
Fingerprinting: Bounding SoftError Detection Latency and Bandwidth
JC Smolens, G Brian T., J Kim, B Falsafi, JC Hoe, A Nowatzyk
International Conference on Architectural Support for Programming Languages …, 2004
2422004
Temporal streaming of shared memory
TF Wenisch, S Somogyi, N Hardavellas, J Kim, A Ailamaki, B Falsafi
32nd International Symposium on Computer Architecture (ISCA'05), 222-233, 2005
1982005
Simflex: A fast, accurate, flexible full-system simulation framework for performance evaluation of server architecture
N Hardavellas, S Somogyi, TF Wenisch, RE Wunderlich, S Chen, J Kim, ...
ACM SIGMETRICS Performance Evaluation Review 31 (4), 31-34, 2004
1962004
A Fully Associative, Tagless DRAM Cache
Y Lee, J Kim, H Jang, H Yang, J Kim, J Jeong, JW Lee
Proceedings of the 42nd Annual International Symposium on Computer Architecture, 2015
1302015
Stealing Webpages Rendered on Your Browser by Exploiting GPU Vulnerabilities
S Lee, Y Kim, J Kim, J Kim
IEEE Symposium on Security and Privacy, 2014
1262014
Efficient resource sharing in concurrent error detecting superscalar microarchitectures
JC Smolens, J Kim, JC Hoe, B Falsafi
37th International Symposium on Microarchitecture (MICRO-37'04), 257-268, 2004
1102004
μLayer: Low Latency On-Device Inference Using Cooperative Single-Layer Acceleration and Processor-Friendly Quantization
Y Kim, J Kim, D Chae, D Kim, J Kim
14th EuroSys Conference (EuroSys), 2019
912019
A Multi-Neural Network Acceleration Architecture
E Baek, D Kwon, J Kim
ACM/IEEE International Symposium on Computer Architecture (ISCA), 2020
882020
MnnFast: a Fast and Scalable System Architecture for Memory-Augmented Neural Networks
H Jang, J Kim, JE Jo, J Lee, J Kim
ACM/IEEE International Symposium on Computer Architecture (ISCA), 2019
662019
DiFuzzRTL: Differential Fuzz Testing to Find CPU Bugs
J Hur, S Song, D Kwon, E Baek, J Kim, B Lee
IEEE International Symposium on Security and Privacy (S&P), 2021
642021
Efficient footprint caching for tagless dram caches
H Jang, Y Lee, J Kim, Y Kim, J Kim, J Jeong, JW Lee
2016 IEEE International Symposium on High Performance Computer Architecture …, 2016
552016
Memory coherence activity prediction in commercial workloads
S Somogyi, TF Wenisch, N Hardavellas, J Kim, A Ailamaki, B Falsafi
Proceedings of the 3rd workshop on Memory performance issues: in conjunction …, 2004
552004
SuperNPU: An extremely fast neural processing unit using superconducting logic devices
K Ishida, I Byun, I Nagaoka, K Fukumitsu, M Tanaka, S Kawakami, ...
2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture …, 2020
472020
TRUSS: a reliable, scalable server architecture
BT Gold, J Kim, JC Smolens, ES Chung, V Liaskovitis, E Nurvitadhi, ...
IEEE Micro 25 (6), 51-59, 2005
422005
Cryogenic Computer Architecture Modeling with Memory-Side Case Studies
G Lee, D Min, I Byun, J Kim
ACM/IEEE International Symposium on Computer Architecture (ISCA), 2019
352019
GPUdmm: A High-Performance and Memory-Oblivious GPU Architecture Using Dynamic Memory Management
Y Kim, J Lee, JE Jo, J Kim
IEEE International Symposium on High Performance Computer Architecture, 2014
352014
CIDR: A Cost-Effective In-line Data Reduction System for Terabit-per-Second Scale SSD Arrays
M Ajdari, P Park, J Kim, D Kwon, J Kim
IEEE International Symposium on High Performance Computer Architecture, 2019
332019
Flexon: A Flexible Digital Neuron for Efficient Spiking Neural Network Simulations
D Lee, G Lee, D Kwon, S Lee, Y Kim, J Kim
45th ACM/IEEE International Symposium on Computer Architecture (ISCA), 2018
322018
Dcs: a fast and scalable device-centric server architecture
J Ahn, D Kwon, Y Kim, M Ajdari, J Lee, J Kim
Proceedings of the 48th International Symposium on Microarchitecture, 559-571, 2015
302015
Das System kann den Vorgang jetzt nicht ausführen. Versuchen Sie es später erneut.
Artikel 1–20