Folgen
Xin Huang
Xin Huang
Bestätigte E-Mail-Adresse bei ucr.edu
Titel
Zitiert von
Zitiert von
Jahr
Physics-based electromigration assessment for power grid networks
X Huang, T Yu, V Sukharev, SXD Tan
Proceedings of the 51st Annual Design Automation Conference, 1-6, 2014
1362014
Physics-based Electromigration Models and Full-chip Assessment for Power Grid Networks
X Huang, A Kteyan, X Tan, V Sukharev
IEEE, 0
76
Analytical Modeling and Characterization of Electromigration Effects for Multi-Branch Interconnect Trees
HB Chen, S Tan, X Huang, T Kim, V Sukharev
IEEE, 2015
632015
EM-based on-chip aging sensor for detection and prevention of counterfeit and recycled ICs
K He, X Huang, SXD Tan
Computer-Aided Design (ICCAD), 2015 IEEE/ACM International Conference on …, 2015
572015
Task migrations for distributed thermal management considering transient effects
Z Liu, SXD Tan, X Huang, H Wang
Very Large Scale Integration (VLSI) Systems, IEEE Transactions on 23 (2 …, 2015
562015
Post-voiding stress evolution in confined metal lines
V Sukharev, A Kteyan, X Huang
IEEE, 2015
542015
Electromigration recovery modeling and analysis under time-dependent current and temperature stressing
X Huang, V Sukharev, T Kim, H Chen, SXD Tan
2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC), 244-249, 2016
432016
Voltage-based electromigration immortality check for general multi-branch interconnects
Z Sun, E Demircan, MD Shroff, T Kim, X Huang, SXD Tan
Computer-Aided Design (ICCAD), 2016 IEEE/ACM International Conference on, 1-7, 2016
382016
Electromigration induced stress evolution under alternate current and pulse current loads
V Sukharev, X Huang, SXD Tan
Journal of Applied Physics 118 (3), 034504, 2015
312015
Learning-based dynamic reliability management for dark silicon processor considering EM effects
T Kim, X Huang, HB Chen, V Sukharev, SXD Tan
2016 Design, Automation & Test in Europe Conference & Exhibition (DATE), 463-468, 2016
302016
IR-drop based electromigration assessment: parametric failure chip-scale analysis
V Sukharev, X Huang, HB Chen, SXD Tan
Proceedings of the 2014 IEEE/ACM International Conference on Computer-Aided …, 2014
292014
Experimental investigation and design optimization guidelines of characteristic variability in silicon nanowire CMOS technology
J Zhuge, R Wang, R Huang, J Zou, X Huang, DW Kim, D Park, X Zhang, ...
Electron Devices Meeting (IEDM), 2009 IEEE International, 1-4, 2009
292009
Interconnect reliability modeling and analysis for multi-branch interconnect trees
HB Chen, SXD Tan, V Sukharev, X Huang, T Kim
Proceedings of the 52nd Annual Design Automation Conference, 90, 2015
232015
Distributed task migration for thermal hot spot reduction in many-core microprocessors
Z Liu, X Huang, SXD Tan, H Wang, H Tang
ASIC (ASICON), 2013 IEEE 10th International Conference on, 1-4, 2013
202013
Electromigration assessment for power grid networks considering temperature and thermal stress effects
X Huang, V Sukharev, JH Choy, M Chew, T Kim, SXD Tan
Integration, the VLSI Journal, 2016
192016
New electromigration modeling and analysis considering time-varying temperature and current densities
HB Chen, SXD Tan, X Huang, V Sukharev
Design Automation Conference (ASP-DAC), 2015 20th Asia and South Pacific …, 2015
182015
Method for fabricating surrounding-gate silicon nanowire transistor with air sidewalls
R Huang, J Zhuge, J Fan, Y Ai, R Wang, X Huang
US Patent 8,563,370, 2013
162013
Fabrication method for surrounding gate silicon nanowire transistor with air as spacers
R Huang, J Zhuge, J Fan, Y Ai, R Wang, X Huang
US Patent 8,513,067, 2013
162013
EM-Based on-Chip Aging Sensor for Dectection of Recycled ICs
K He, X Huang, S Tan
IEEE, 0
13
Heat dissipation structure of SOI field effect transistor
R Huang, X Huang, S Xue, Y Ai
US Patent 8,598,636, 2013
122013
Das System kann den Vorgang jetzt nicht ausführen. Versuchen Sie es später erneut.
Artikel 1–20