Folgen
Takayasu Sakurai
Titel
Zitiert von
Zitiert von
Jahr
A large-area, flexible pressure sensor matrix with organic field-effect transistors for artificial skin applications
T Someya, T Sekitani, S Iba, Y Kato, H Kawaguchi, T Sakurai
Proceedings of the National Academy of Sciences 101 (27), 9966-9970, 2004
21952004
Alpha-power law MOSFET model and its applications to CMOS inverter delay and other formulas
T Sakurai, AR Newton
IEEE Journal of solid-state circuits 25 (2), 584-594, 1990
21861990
Conformable, flexible, large-area networks of pressure and thermal sensors with organic transistor active matrixes
T Someya, Y Kato, T Sekitani, S Iba, Y Noguchi, Y Murase, H Kawaguchi, ...
Proceedings of the National Academy of Sciences 102 (35), 12321-12325, 2005
16512005
Organic nonvolatile memory transistors for flexible sensor arrays
T Sekitani, T Yokota, U Zschieschang, H Klauk, S Bauer, K Takeuchi, ...
Science 326 (5959), 1516-1519, 2009
10872009
Closed-form expressions for interconnection delay, coupling, and crosstalk in VLSIs
T Sakurai
IEEE Transactions on Electron Devices 40 (1), 118-124, 1993
8351993
A 0.9-V, 150-MHz, 10-mW, 4 mm/sup 2/, 2-D discrete cosine transform core processor with variable threshold-voltage (VT) scheme
T Kuroda, T Fujita, S Mita, T Nagamatsu, S Yoshioka, K Suzuki, F Sano, ...
IEEE Journal of Solid-State Circuits 31 (11), 1770-1779, 1996
7581996
Simple formulas for two-and three-dimensional capacitances
T Sakurai, K Tamaru
IEEE transactions on electron devices 30 (2), 183-185, 1983
6441983
A simple method for mouse embryo cryopreservation in a low toxicity vitrification solution, without appreciable loss of viability
M Kasai, JH Komi, A Takakamo, H Tsudera, T Sakurai, T Machida
Reproduction 89 (1), 91-97, 1990
6011990
Approximation of wiring delay in MOSFET LSI
T Sakurai
IEEE Journal of solid-state circuits 18 (4), 418-426, 1983
5311983
A reduced clock-swing flip-flop (RCSFF) for 63% power reduction
H Kawaguchi, T Sakurai
IEEE Journal of Solid-State Circuits 33 (5), 807-811, 1998
4181998
A large-area wireless power-transmission sheet using printed organic transistors and plastic MEMS switches
T Sekitani, M Takamiya, Y Noguchi, S Nakano, Y Kato, T Sakurai, ...
Nature materials 6 (6), 413-417, 2007
4022007
Variable supply-voltage scheme for low-power high-speed CMOS digital design
T Kuroda, K Suzuki, S Mita, T Fujita, F Yamane, F Sano, A Chiba, ...
IEEE Journal of Solid-State Circuits 33 (3), 454-462, 1998
3811998
Power optimization of real-time embedded systems on variable speed processors
Y Shin, K Choi, T Sakurai
IEEE/ACM International Conference on Computer Aided Design. ICCAD-2000. IEEE …, 2000
3742000
A simple MOSFET model for circuit analysis
T Sakurai, AR Newton
IEEE transactions on Electron Devices 38 (4), 887-894, 1991
3551991
Run-time voltage hopping for low-power real-time systems
S Lee, T Sakurai
Proceedings of the 37th Annual Design Automation Conference, 806-809, 2000
3482000
0.5-V input digital LDO with 98.7% current efficiency and 2.7-µA quiescent current in 65nm CMOS
Y Okuma, K Ishida, Y Ryu, X Zhang, PH Chen, K Watanabe, M Takamiya, ...
IEEE Custom Integrated Circuits Conference 2010, 1-4, 2010
3472010
Delay analysis of series-connected MOSFET circuits
T Sakurai, AR Newton
IEEE Journal of Solid-State Circuits 26 (2), 122-131, 1991
3281991
Integration of organic FETs with organic photodiodes for a large area, flexible, and lightweight sheet image scanners
T Someya, Y Kato, S Iba, Y Noguchi, T Sekitani, H Kawaguchi, T Sakurai
IEEE transactions on electron devices 52 (11), 2502-2511, 2005
2992005
A super cut-off CMOS (SCCMOS) scheme for 0.5-V supply voltage with picoampere stand-by current
H Kawaguchi, K Nose, T Sakurai
IEEE Journal of Solid-State Circuits 35 (10), 1498-1501, 2000
2892000
Theory of continuously distributed trap states at Si‐SiO2 interfaces
T Sakurai, T Sugano
Journal of Applied Physics 52 (4), 2889-2896, 1981
2851981
Das System kann den Vorgang jetzt nicht ausführen. Versuchen Sie es später erneut.
Artikel 1–20