Folgen
Ayse K Coskun
Titel
Zitiert von
Zitiert von
Jahr
Pack & cap: adaptive dvfs and thread packing under power caps
R Cochran, C Hankendi, AK Coskun, S Reda
Proceedings of the 44th annual IEEE/ACM international symposium on …, 2011
3752011
Temperature aware task scheduling in MPSoCs
AK Coskun, TS Rosing, K Whisnant
2007 Design, Automation & Test in Europe Conference & Exhibition, 1-6, 2007
3432007
Dynamic thermal management in 3D multicore architectures
AK Coskun, JL Ayala, D Atienza, TS Rosing, Y Leblebici
2009 Design, Automation & Test in Europe Conference & Exhibition, 1410-1415, 2009
2542009
Static and dynamic temperature-aware scheduling for multiprocessor SoCs
AK Coskun, TS Rosing, KA Whisnant, KC Gross
IEEE Trans. Very Large Scale Integr. Syst. 16 (9), 1127-1140, 2008
2112008
Utilizing predictors for efficient thermal management in multiprocessor SoCs
AK Coskun, TS Rosing, KC Gross
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2009
1812009
Evaluating the impact of job scheduling and power management on processor lifetime for chip multiprocessors
AK Coskun, R Strong, DM Tullsen, T Simunic Rosing
Proceedings of the eleventh international joint conference on Measurement …, 2009
1792009
Optimizing energy efficiency of 3-D multicore systems with stacked DRAM under power and thermal constraints
J Meng, K Kawakami, AK Coskun
Proceedings of the 49th Annual Design Automation Conference, 648-655, 2012
1772012
An investigation of unified memory access performance in cuda
R Landaverde, T Zhang, AK Coskun, M Herbordt
2014 IEEE High Performance Extreme Computing Conference (HPEC), 1-6, 2014
1532014
Energy-efficient variable-flow liquid cooling in 3D stacked architectures
AK Coskun, D Atienza, TS Rosing, T Brunschwiler, B Michel
2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010 …, 2010
1382010
Diagnosing performance variations in HPC applications using machine learning
O Tuncer, E Ates, Y Zhang, A Turk, J Brandt, VJ Leung, M Egele, ...
High Performance Computing: 32nd International Conference, ISC High …, 2017
1302017
Temperature-aware MPSoC scheduling for reducing hot spots and gradients
AK Coskun, TS Rosing, KA Whisnant, KC Gross
2008 Asia and South Pacific Design Automation Conference, 49-54, 2008
1102008
Proactive temperature management in MPSoCs
AK Coskun, TS Rosing, KC Gross
Proceedings of the 2008 international symposium on Low Power Electronics …, 2008
1032008
Modeling and dynamic management of 3D multicore systems with liquid cooling
AK Coskun, JL Ayala, D Atienza, TS Rosing
2009 17th IFIP International Conference on Very Large Scale Integration …, 2009
992009
Proactive temperature balancing for low cost thermal management in MPSoCs
AK Coskun, TS Rosing, KC Gross
2008 IEEE/ACM International Conference on Computer-Aided Design, 250-257, 2008
982008
Analysis and optimization of MPSoC reliability
AK Coskun, TS Rosing, K Mihic, G De Micheli, Y Leblebici
Journal of Low Power Electronics 2 (1), 56-69, 2006
932006
Temperature management in multiprocessor SoCs using online learning
AK Coskun, TS Rosing, KC Gross
Proceedings of the 45th Annual Design Automation Conference, 890-893, 2008
812008
Online diagnosis of performance variation in HPC systems using machine learning
O Tuncer, E Ates, Y Zhang, A Turk, J Brandt, VJ Leung, M Egele, ...
IEEE Transactions on Parallel and Distributed Systems 30 (4), 883-896, 2018
802018
Energy-efficient multiobjective thermal control for liquid-cooled 3-D stacked architectures
MM Sabry, AK Coskun, D Atienza, TŠ Rosing, T Brunschwiler
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2011
802011
Workload scheduling in multi-core processors
AK Coskun, AM Urmanov, KC Gross, KA Whisnant
US Patent 7,716,006, 2010
772010
Counterfactual explanations for multivariate time series
E Ates, B Aksar, VJ Leung, AK Coskun
2021 international conference on applied artificial intelligence (ICAPAI), 1-8, 2021
742021
Das System kann den Vorgang jetzt nicht ausführen. Versuchen Sie es später erneut.
Artikel 1–20