Folgen
Magnus Själander
Magnus Själander
Professor, Norwegian University of Science (NTNU) and Visiting Senior Lecturer at Uppsala
Bestätigte E-Mail-Adresse bei ntnu.no - Startseite
Titel
Zitiert von
Zitiert von
Jahr
EPIC: An energy-efficient, high-performance GPGPU computing research infrastructure
M Själander, M Jahre, G Tufte, N Reissmann
arXiv preprint arXiv:1912.05848, 2019
1772019
Efficient invisible speculative execution through selective delay and value prediction
C Sakalis, S Kaxiras, A Ros, A Jimborean, M Själander
Proceedings of the 46th International Symposium on Computer Architecture …, 2019
1172019
BISMO: A scalable bit-serial matrix multiplication overlay for reconfigurable computing
Y Umuroglu, L Rasnayake, M Själander
2018 28th International Conference on Field Programmable Logic and …, 2018
1102018
Twig: Multi-agent task management for colocated latency-critical cloud services
R Nishtala, V Petrucci, P Carpenter, M Sjalander
2020 IEEE International Symposium on High Performance Computer Architecture …, 2020
832020
A high-speed, energy-efficient two-cycle multiply-accumulate (MAC) architecture and its application to a double-throughput MAC unit
TT Hoang, M Sjalander, P Larsson-Edefors
Circuits and Systems I: Regular Papers, IEEE Transactions on 57 (12), 3073-3081, 2010
832010
FlexCore: Utilizing exposed datapath control for efficient computing
M Thuresson, M Själander, M Björk, L Svensson, P Larsson-Edefors, ...
Journal of Signal Processing Systems 57, 5-19, 2009
662009
Multiplication acceleration through twin precision
M Sjalander, P Larsson-Edefors
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 17 (9 …, 2009
642009
High-speed and low-power multipliers using the Baugh-Wooley algorithm and HPM reduction tree
M Sjalander, P Larsson-Edefors
2008 15th IEEE international conference on electronics, circuits and systems …, 2008
632008
A look-ahead task management unit for embedded multi-core architectures
M Själander, A Terechko, M Duranton
Digital System Design Architectures, Methods and Tools, 2008. DSD'08. 11th …, 2008
62*2008
Next Generation Multi-Purpose Microprocessor
J Andersson, M Själander, J Gaisler
Data Systems In Aerospace (DASIA 2010), 2010
492010
Multiplier reduction tree with logarithmic logic depth and regular connectivity
H Eriksson, P Larsson-Edefors, M Sheeran, M Sjalander, D Johansson, ...
2006 IEEE International Symposium on Circuits and Systems, 4 pp.-8, 2006
472006
An LTE Uplink Receiver PHY Benchmark and Subframe-Based Power Management
M Själander, SA McKee, P Brauer, D Engdal, A Vajda
IEEE International Symposium on Performance Analysis of Systems and Software …, 2012
462012
The Case for HPM-Based Baugh-Wooley Multipliers
M Själander, P Larson-Edefors
Chalmers University of Technology, Sweden, 2008
452008
Power-efficient computer architectures: Recent advances
M Själander, M Martonosi, S Kaxiras
Morgan & Claypool, 2015
422015
An efficient twin-precision multiplier
M Sjalander, H Eriksson, P Larsson-Edefors
IEEE International Conference on Computer Design: VLSI in Computers and …, 2004
422004
A low-leakage twin-precision multiplier using reconfigurable power gating
M Sjalander, M Drazdziulis, P Larsson-Edefors, H Eriksson
2005 IEEE International Symposium on Circuits and Systems (ISCAS), 1654-1657, 2005
412005
Ghost loads: What is the cost of invisible speculation?
C Sakalis, M Alipour, A Ros, A Jimborean, S Kaxiras, M Själander
Proceedings of the 16th ACM International Conference on Computing Frontiers …, 2019
322019
Clairvoyance: Look-ahead compile-time scheduling
KA Tran, TE Carlson, K Koukos, M Själander, V Spiliopoulos, S Kaxiras, ...
2017 IEEE/ACM International Symposium on Code Generation and Optimization …, 2017
322017
Optimizing bit-serial matrix multiplication for reconfigurable computing
Y Umuroglu, D Conficconi, L Rasnayake, TB Preusser, M Själander
ACM Transactions on Reconfigurable Technology and Systems (TRETS) 12 (3), 1-24, 2019
242019
Designing a practical data filter cache to improve both energy efficiency and performance
A Bardizbanyan, M Själander, D Whalley, P Larsson-Edefors
ACM Transactions on Architecture and Code Optimization (TACO) 10 (4), 1-25, 2013
23*2013
Das System kann den Vorgang jetzt nicht ausführen. Versuchen Sie es später erneut.
Artikel 1–20