Follow
Youngsok Kim
Youngsok Kim
Verified email at yonsei.ac.kr - Homepage
Title
Cited by
Cited by
Year
Google Workloads for Consumer Devices: Mitigating Data Movement Bottlenecks
A Boroumand, S Ghose, Y Kim, R Ausavarungnirun, E Shiu, R Thakur, ...
23rd ACM International Conference on Architectural Support for Programming …, 2018
3662018
Stealing Webpages Rendered on Your Browser by Exploiting GPU Vulnerabilities
S Lee, Y Kim, J Kim, J Kim
35th IEEE Symposium on Security & Privacy (S&P), 2014
1272014
μLayer: Low Latency On-Device Inference Using Cooperative Single-Layer Acceleration and Processor-Friendly Quantization
Y Kim, J Kim, D Chae, D Kim, J Kim
14th ACM European Conference on Computer Systems (EuroSys), 2019
942019
Efficient Footprint Caching for Tagless DRAM Caches
H Jang, Y Lee, J Kim, Y Kim, J Kim, J Jeong, JW Lee
22nd IEEE International Symposium on High Performance Computer Architecture …, 2016
552016
Real-Time Object Detection System with Multi-Path Neural Networks
S Heo, S Cho, Y Kim, H Kim
26th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS), 2020
482020
DANCE: Differentiable Accelerator/Network Co-Exploration
K Choi, D Hong, H Yoon, J Yu, Y Kim, J Lee
58th ACM/IEEE Design Automation Conference (DAC), 2021
422021
Qimera: Data-free Quantization with Synthetic Boundary Supporting Samples
K Choi, D Hong, N Park, Y Kim, J Lee
35th Conference on Neural Information Processing Systems (NeurIPS), 2021
412021
GPUdmm: A High-Performance and Memory-Oblivious GPU Architecture Using Dynamic Memory Management
Y Kim, J Lee, JE Jo, J Kim
20th IEEE International Symposium on High Performance Computer Architecture …, 2014
352014
Flexon: A Flexible Digital Neuron for Efficient Spiking Neural Network Simulations
D Lee, G Lee, D Kwon, S Lee, Y Kim, J Kim
45th ACM/IEEE International Symposium on Computer Architecture (ISCA), 2018
322018
DCS: A Fast and Scalable Device-Centric Server Architecture
J Ahn, D Kwon, Y Kim, M Ajdari, J Lee, J Kim
48th IEEE/ACM International Symposium on Microarchitecture (MICRO), 2015
312015
Dataflow Mirroring: Architectural Support for Highly Efficient Fine-Grained Spatial Multitasking on Systolic-Array NPUs
J Lee, J Choi, J Kim, J Lee, Y Kim
58th ACM/IEEE Design Automation Conference (DAC), 2021
272021
It's All In the Teacher: Zero-Shot Quantization Brought Closer to the Teacher
K Choi, H Lee, D Hong, J Yu, N Park, Y Kim, J Lee
2022 IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR), 2022
252022
FlexLearn: Fast and Highly Efficient Brain Simulations Using Flexible On-Chip Learning
E Baek, H Lee, Y Kim, J Kim
52nd IEEE/ACM International Symposium on Microarchitecture (MICRO), 2019
242019
ScaleGPU: GPU Architecture for Memory-Unaware GPU Programming
Y Kim, J Lee, D Kim, J Kim
IEEE Computer Architecture Letters (CAL), 2013
202013
DCS-ctrl: A Fast and Flexible Device-Control Mechanism for Device-Centric Server Architecture
D Kwon, J Ahn, D Chae, M Ajdari, J Lee, S Bae, Y Kim, J Kim
45th ACM/IEEE International Symposium on Computer Architecture (ISCA), 2018
142018
GPUpd: A Fast and Scalable Multi-GPU Architecture Using Cooperative Projection and Distribution
Y Kim, JE Jo, H Jang, M Rhu, H Kim, J Kim
50th IEEE/ACM International Symposium on Microarchitecture (MICRO), 2017
132017
Optimus-CC: Efficient Large NLP Model Training with 3D Parallelism Aware Communication Compression
J Song, J Yim, J Jung, H Jang, HJ Kim, Y Kim, J Lee
28th ACM International Conference on Architectural Support for Programming …, 2023
122023
CloudSwap: A Cloud-Assisted Swap Mechanism for Mobile Devices
D Chae, J Kim, Y Kim, J Kim, KA Chang, SB Suh, H Lee
16th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing …, 2016
112016
Design and Analysis of a Processing-in-DIMM Join Algorithm: A Case Study with UPMEM DIMMs
C Lim, S Lee, J Choi, J Lee, S Park, H Kim, J Lee, Y Kim
2023 ACM SIGMOD International Conference on Management of Data (SIGMOD), 2023
82023
SGCN: Exploiting Compressed-Sparse Features in Deep Graph Convolutional Network Accelerators
M Yoo, J Song, J Lee, N Kim, Y Kim, J Lee
29th IEEE International Symposium on High-Performance Computer Architecture …, 2023
72023
The system can't perform the operation now. Try again later.
Articles 1–20